<br><font size=2 face="sans-serif">Hi All,</font><br><br><font size=2 face="sans-serif">I've a strange behavior on AIX 5.1:</font><br><font size=2 face="sans-serif">I used one of the poa examples to show
(src/examples/poa/implicit_activation), </font><br><font size=2 face="sans-serif">but it's the same with all examples
I tested.</font><br><font size=2 face="sans-serif">The app is only working, if I give a
portnumber in the ORBendPoint parameter.</font><br><font size=2 face="sans-serif">Could somebody give me a hint what I
did wrong?</font><br><br><font size=2 face="sans-serif">xyz@aixhost:implicit_activation &gt;./eg1</font><br><font size=2 face="sans-serif">omniORB: Cannot get the address of host
.</font><br><font size=2 face="sans-serif">omniORB: Error: Unable to create an
endpoint of this description: giop:tcp::0</font><br><font size=2 face="sans-serif">Caught a CORBA::INITIALIZE</font><br><font size=2 face="sans-serif">xyz@aixhost:implicit_activation &gt;./eg1
-ORBendPoint giop:tcp:127.0.0.1</font><br><font size=2 face="sans-serif">omniORB: Error: Unable to create an
endpoint of this description: giop:tcp:127.0.0.1</font><br><font size=2 face="sans-serif">Caught a CORBA::INITIALIZE</font><br><font size=2 face="sans-serif">xyz@aixhost:implicit_activation &gt;./eg1
-ORBendPoint giop:tcp:127.0.0.1:1234</font><br><font size=2 face="sans-serif">I said, &quot;Hello!&quot;.</font><br><font size=2 face="sans-serif">The Echo object replied, &quot;Hello!&quot;.</font><br><font size=2 face="sans-serif">xyz@aixhost:implicit_activation &gt;</font><br><br><font size=2 face="sans-serif">Cheers,</font><br><br><font size=2 face="sans-serif">Andreas.</font><br><BR>
Engineering Center Steyr GmbH &amp; Co KG<BR>
Geschäftsführende Gesellschaft: Engineering Center Steyr GmbH<BR>
Geschäftsführer der geschäftsführenden Gesellschaft: Dipl. Ing. Franz Dorfer<BR>
Sitz der geschäftsführenden Gesellschaft: 4300 St. Valentin, Österreich<BR>
Firmenbuchgericht: St. Pölten / FN 140816h<BR>
Sitz der Gesellschaft: 4300 St. Valentin, Steyrer Strasse 32, Österreich<BR>
Firmenbuchgericht: St. Pölten / FN 222001y<BR>
<BR>
CONFIDENTIALITY NOTE: This message contains information which may be privileged or confidential, or exempt from disclosure under applicable law.<BR>
If the reader of this message is not the intended recipient, or the employee or agent responsible for delivering the message to the intended recipient, you are hereby notified that any dissemination, distribution, retention, archiving, or copying of this communication is strictly prohibited. <BR>
If you have received this e-mail in error, please notify us immediately by return e-mail to the sender of this message.<BR>