module test { struct Parameter; typedef sequence ParameterList; struct Parameter { string key; }; typedef ParameterList TypedefOfParameterList; };